易截截图软件、单文件、免安装、纯绿色、仅160KB

java缩放图片原理

本文为原创,如需转载,请注明作者和出处,谢谢!
    缩放图像的基本原理是创建一个目标大小的画布,然后读取源图像,并将该图像绘制这个画布上。为了使程序通用,源图像和缩放后的目标图像应用分别使用 InputStream和OutputStream来表示,代码如下:
     public   static   void  scaleImage(InputStream imgInputStream,
            OutputStream imgOutputStream,  int  scale)
    {
         try
        {
            Image src  =  javax.imageio.ImageIO.read(imgInputStream);
             int  width  =  ( int ) (src.getWidth( null )  *  scale  /   100.0 );
             int  height  =  ( int ) (src.getHeight( null )  *  scale  /   100.0 );
            BufferedImage bufferedImage  =   new  BufferedImage(width, height,
                    BufferedImage.TYPE_INT_RGB);
             bufferedImage.getGraphics().drawImage(
                    src.getScaledInstance(width, height, Image.SCALE_SMOOTH),
                     0 ,  0 ,  null );
            JPEGImageEncoder encoder  =  JPEGCodec
     &n


相关文档:

最小生成树 Kruskal算法 java代码实现

/*
*日期:2010-04-18 20:02
*开发者:heroyan
*联系方式:zndxysf@126.com
*功能:无向图最小生成树Kruskal算法实现案例
*/
import java.util.Scanner;
import java.util.Arrays;
import java.util.ArrayList;
public class Kruskal{
private static int MAX = 100;
private ArrayList<Edge> edge = new Ar ......

Java设计模式之:Iterator链表模式

先来了解一下链表模式的原理:
首先写一个JavaBean,内容是要添加的元素和该元素的节点。
public class NodeBean implements Serializable
{
   
    private Object data; //元素本身
    private NodeBean next; //下一个节点
   
  &n ......

4.19 java programming

/////////////////////DogTestDrive/////////////////////
class DogTestDrive {
 public static void main(String[] args) {
  Dog one = new Dog();
     one.size = 70;
     Dog two = new Dog();
     two.size = 8;
   ......

java基础面试题总结

1.局部变量在使用的时候必须已经被初始化
2.byte b=2,e=3
   byte c=b+c;   会编译不通过,应为b+c会自动 类型提升位int 如果想得到 byte c 必须强制转型        byte c=(byte)b+c;         
3.f ......

如何成为一名合格的Java程序员

1、语法:必须比较熟悉,在写代码的时候 IDE 的编辑器对某一行报错应该能够根据报错信息知道是什么样的语法错误并且知道任何修正。
   2、命令:必须熟悉 JDK 带的一些常用命令及其常用选项,命令至少需要熟悉:appletviewer、HtmlConverter、jar、java、javac、javadoc、javap、javaw、native2ascii、serialve ......
© 2009 ej38.com All Rights Reserved. 关于E健网联系我们 | 站点地图 | 赣ICP备09004571号