易截截图软件、单文件、免安装、纯绿色、仅160KB

Linux设备模型之input子系统详解(一)

------------------------------------------ 
本文系本站原创,欢迎转载! 
转载请注明出处:http://ericxiao.cublog.cn/ 
------------------------------------------ 
一:前言 
在键盘驱动代码分析的笔记中,接触到了input子系统.键盘驱动,键盘驱动将检测到的所有按键都上报给了input子系统。Input子系统是所有I/O设备驱动的中间层,为上层提供了一个统一的界面。例如,在终端系统中,我们不需要去管有多少个键盘,多少个鼠标。它只要从input子系统中去取对应的事件(按键,鼠标移位等)就可以了。今天就对input子系统做一个详尽的分析. 
下面的代码是基于linux kernel 2.6.25.分析的代码主要位于kernel2.6.25/drivers/input下面. 
二:使用input子系统的例子 
在内核自带的文档Documentation/input/input-programming.txt中。有一个使用input子系统的例子,并附带相应的说明。以此为例分析如下: 
#include <linux/input.h> 
#include <linux/module.h> 
#include <linux/init.h> 
  
#include <asm/irq.h> 
#include <asm/io.h> 
  
static void button_interrupt(int irq, void *dummy, struct pt_regs *fp) 

        input_report_key(&button_dev, BTN_1, inb(BUTTON_PORT) & 1); 
        input_sync(&button_dev); 

  
static int __init button_init(void) 

        if (request_irq(BUTTON_IRQ, button_interrupt, 0, "button", NULL)) { 
                printk(KERN_ERR "button.c: Can't allocate irq %d\n", button_irq); 
                return -EBUSY; 
        } 
  
        button_dev.evbit[0] = BIT(EV_KEY); 
        button_dev.keybit[LONG(BTN_0)] = BIT(BTN_0);&n


相关文档:

linux静态库和共享库

1.什么是库
在windows平台和linux平台下都大量存在着库。
本质上来说库是一种可执行代码的二进制形式,可以被操作系统载入内存执行。
由于windows和linux的本质不同,因此二者库的二进制是不兼容的。
本文仅限于介绍linux下的库。
2.库的种类
linux下的库有两种:静态库和共享库(动态库)。
二者的不同点在于代码被 ......

Linux kernel 学习笔记(1) 分段分页保护机制

使用80x86处理器进行寻址时牵涉到三种不同的地址,其关系如下所示
逻辑地址------------------->线性地址-------------------------->物理地址
                 (分段)          ......

linux只列出文件夹

ls -l|grep ^d    ----列出当前目录下的文件夹
ls -l|grep ^d|grep "tmp"  ----列出当前目录下明子含有"tmp"的文件夹
for dir in `ls -l ~/dxy/|grep ^d | awk '{print $9}'`  ---- awk '{print $9}' ,只print 文件夹的名字
do
echo "==== $dir"
cd ~/dxy;cd $dir/
t=`ls -l|grep ^d|grep " ......

手工释放linux内存

当在Linux下频繁存取文件
后,物理内存会很快被用光,当程序
结束后,内存不会被正常释放,而是一直作为caching。这个问题,貌似有不少人在问,不过都没有看到有什么很好解决
的办法。那么我来谈谈这个问题。
一、通常情况
先来说说free命令

引用
[root@server ~]# free -m
total used free shared buffers cac ......
© 2009 ej38.com All Rights Reserved. 关于E健网联系我们 | 站点地图 | 赣ICP备09004571号